Next Article in Journal
Design and Behavior of Lightweight Flexible Structure with Spatial Pattern Reducing Contact Surface Fraction
Next Article in Special Issue
Advances in Polymer Binder Materials for Lithium-Ion Battery Electrodes and Separators
Previous Article in Journal
A Self-Healing Thermoset Epoxy Modulated by Dynamic Boronic Ester for Powder Coating
Previous Article in Special Issue
Wearable Liquid Metal Composite with Skin-Adhesive Chitosan–Alginate–Chitosan Hydrogel for Stable Electromyogram Signal Monitoring
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

A Review of Polymer Dielectrics for Redistribution Layers in Interposers and Package Substrates

by
Pratik Nimbalkar
1,*,
Pragna Bhaskar
1,
Mohanalingam Kathaperumal
1,
Madhavan Swaminathan
2 and
Rao R. Tummala
1
1
3D Systems Packaging Research Center, Georgia Institute of Technology, Atlanta, GA 30332, USA
2
Department of Electrical Engineering, Pennsylvania State University, University Park, PA 16802, USA
*
Author to whom correspondence should be addressed.
Polymers 2023, 15(19), 3895; https://doi.org/10.3390/polym15193895
Submission received: 1 August 2023 / Revised: 12 September 2023 / Accepted: 15 September 2023 / Published: 26 September 2023
(This article belongs to the Special Issue Polymer Based Electronic Devices and Sensors III)

Abstract

:
The ever-increasing demand for faster computing has led us to an era of heterogeneous integration, where interposers and package substrates have become essential components for further performance scaling. High-bandwidth connections are needed for faster communication between logic and memory dies. There are several limitations to current generation technologies, and dielectric buildup layers are a key part of addressing those issues. Although there are several polymer dielectrics available commercially, there are numerous challenges associated with incorporating them into interposers or package substrates. This article reviewed the properties of polymer dielectric materials currently available, their properties, and the challenges associated with their fabrication, electrical performance, mechanical reliability, and electrical reliability. The current state-of-the-art is discussed, and guidelines are provided for polymer dielectrics for the next-generation interposers.

1. Introduction

The amount of data generated and processed has seen an exponential increase in the past several years due to the digitization of systems. This trend is expected to accelerate further with the advent of generative Artificial Intelligence (AI). To handle such large amounts of data, system bandwidth needs continuous improvements. The CPU-memory bandwidth is expected to double every two years [1]. Interconnections between various chips are the main bottleneck that needs innovative solutions. There is a need to develop low-power, high-speed on-package copper wiring to tackle this challenge. Several new packaging architectures have been developed to cater to this need. Two main approaches include-(1) planar 2D structures such as interposers, where chips are placed next to each other, and (2) 3D architectures, where chips are stacked on top of each other. These approaches utilize either silicon dioxide or polymers as dielectric layers between the wiring for interconnections. Polymer-based wiring in organic interposers is fabricated using panel-manufacturing tools and processes and can support high input–output (IO) densities, as demonstrated by Shinko and Kyocera [2,3]. Forming these high-IO-density interconnects is highly dependent on the dielectric material properties and processing techniques. The pitch scaling of organic laminates is limited because of the dimensional stability of the core material. Non-polymer-based wiring uses silicon back-end-of-line (BEOL) infrastructure to achieve ultra-high IO densities as demonstrated by TSMC’s chip-on-wafer-on-substrate (CoWoS) [4] and Intel’s Embedded-Interconnect-Bridge (EMIB) [1]. However, non-polymer-based interconnects cannot support higher data rates because of the fundamental limitations of the dielectric.
Figure 1 shows the schematic stack up of a package substrate or an interposer. A package substrate consists of a substrate core with multiple layers of polymer dielectric and copper wiring on either side. The copper wiring, also known as redistribution layers (RDLs), forms interconnections between the chips and the printed wiring board (PWB). There could be multiple packages stacked on each other as in the case of silicon interposers. Figure 1 depicts just one layer of package substrate for illustration. It should be noted that the terms “interposer” and “package substrate” are used interchangeably in this article due to the similar processing techniques utilized for the fabrication of both.
Table 1 lists the current state-of-the-art in 2.5D interposers and package substrate technologies. In general, wafer-scale technologies are able to achieve finer wiring because of the more-sophisticated damascene processing, but it utilizes silicon dioxide as the dielectric. A higher dielectric constant of silicon dioxide (D k = 4) imposes limitations with respect to the maximum achievable data transfer rates. The effects of dielectric constant on electrical performance are discussed in detail in Section 3.

2. Fabrication and Processing

Figure 2 shows the trend in bump pitch and lithography dimensions for printed wiring board (PWB) substrates in comparison to BEOL wafer foundry. Panel-scale PWBs are produced at larger lithography dimensions (>50 μ m) in comparison to interposers. Panel-sized organic laminate substrates, until a few years ago, were produced at >10 μ m. There are several challenges faced by panel RDLs that limit the scaling of RDLs’ critical dimensions. Lithography tools for panels need a larger depth-of-focus compared to the BEOL counterparts, limiting the scaling to finer dimensions. Panel substrates also face dimensional abnormalities with respect to warpage and planarity and surface roughness, thus limiting the scaling. These surface topography deformations adversely affect the formation of fine features while patterning and worsen with multiple RDLs [12]. BEOL RDLs use dual-damascene processing to achieve finer RDL dimensions below 1 μ m up to 0.1 μ m. Because of the limitations of semi-additive processing and the lithography tools used in packaging, package RDLs are an order of magnitude larger than BEOL RDLs. This results in a lithography gap between the package and BEOL RDLs. However, many recent advances in wafer and panel packaging have extended package RDLs to 1 μ m. This has led to the bridging of the lithography gap depicted in Figure 2. BEOL RDLs, however, suffer from the disadvantage of higher cost due to smaller wafer-size processing. With recent advances in glass interposers, this issue has now been addressed by providing a panel-sized solution, thus lowering the cost of high-density substrates [13,14]. Additionally, panel-scale processing is critical for the manufacturing of large body-size interposers and substrates, which are less economical at the wafer scale.
Table 2 lists various polymer dielectrics commercially available on the market. The current state-of-the-art utilizes dry film (denoted as DF in Table 2) prepregs that are laminated onto substrates under the application of pressure and heat. Ajinomoto is the market leader with their several grades of Ajinomoto Buildup Films (ABFs), listed in Table 2. With the advances in interposer substrates, the trend is toward thinner dielectrics. This is one of the reasons for liquid dielectrics getting attention. Benzocyclobutene (BCB)-based dielectrics from Dow (trade name: Cyclotene) are popular and used mainly in wafer-scale packages due to the wafer-scale spin-coating of liquid dielectrics. Vapor-deposited dielectrics are also available on the market, but they are not popular for package substrates. Parylenes are the main class of materials that dominate this area. They are attractive for research for the next-generation interposers/substrates owing to their low dielectric constant values [15]. Several key properties of dielectrics are compiled and presented in Table 2 from the datasheets available online.
The selection of polymer dielectrics for packaging is challenging due to the conflicting nature of the fundamental physical properties of polymers. Usually, polymers having low dielectric constant (D k ) values have high CTEs and low elastic moduli, as seen in Table 2. Traditional epoxy-based dielectrics used in package substrates have silica fillers that compensate for the poor mechanical properties of the polymer matrix, but result in an increased D k . Most low-k (D k < 2.5) dielectrics do not have silica fillers, leading to lower D k , but poor mechanical stability. Thus, the selection of polymer dielectrics for RDL applications needs to be carried out with several considerations, which include thermal and mechanical stability, moisture sensitivity, processability, and chemical inertness. D k and D f need to be as low as possible to minimize RDL capacitance and dissipation losses. The processability of polymers is an important factor in being able to build a multilayer structure consisting of RDL routing with microvias. It is critical to control the thickness of the dielectric to achieve the desired microvia dimensions. For targeting smaller microvia dimensions, thinner dielectrics are needed. Dry film dielectrics conventionally used in package substrates are not available with less than a 5 μ m thickness. This leaves only liquid- or vapor-deposited dielectrics, as listed in Table 2. Another reason for desiring thin dielectrics is that a lower aspect ratio of the microvias gives better thermomechanical reliability. Liquid dielectrics are difficult to process on panels, as they need to be spin-coated. The uniformity and planarity of spin-coated dielectrics on large panels are poor, especially on multilayered substrates. Furthermore, for impedance matching, very fine thickness control (<1 μ m) is desired. Vapor-deposited polymers are, thus, very attractive due to sub-micrometer thickness control. Alternative processing techniques such as “slot die coating” are also gaining traction for depositing thin dielectric layers on large panels. The elastic modulus and CTE of polymers play crucial roles in the thermomechanical reliability of microvias. A low elastic modulus (<7 GPa) is desired to lower the stresses induced in RDLs. A very high modulus makes the polymer less pliable and not able to accommodate the expansion of copper. On the other hand, the CTE value needs to be as low as possible, preferably <40 ppm/K for RDL critical dimensions smaller than 5 μ m. Tensile strength and maximum elongation are also critical to prevent polymer cracking. Polymers become viscous and more flowable above their glass transition temperature. Therefore, to prevent RDL failure, the glass transition temperature should ideally be above the solder reflow temperature of about 250 ° C. Moisture absorption in polymers leads to ionic migration under the influence of electrical bias. Therefore, moisture absorption needs to be <0.1% with ideally zero ionic content for conductor spacings of <2 μ m. In addition, the adhesion of the dielectric to copper is an important factor. This aspect will be discussed in detail in Section 4. Traditionally, package substrates are fabricated at lower temperatures than wafer RDLs. This is an important factor for keeping the cost of the substrates lower. Dielectrics with low curing temperatures <200° are, thus, desired.
Figure 3 shows the various steps involved in substrate fabrication using a semi-additive process (SAP) traditionally utilized in panel-scale packaging. A core substrate is used to form multiple metal layers on either side. The polymer dielectric is applied using vacuum lamination of dry films followed by seed-layer metallization using electroless or sputter deposition. The next steps include photoresist patterning, electroplating, and photoresist removal. The seed layer used for metalizing is then etched away, thus completing the fabrication of one metal layer. For connecting the adjacent metal layers, vertical interconnects or microvias are drilled, conventionally using laser ablation. Alternatively, microvias can also be made using photo-imageable dielectrics (PIDs) using lithography. PIDs are gaining importance in the industry for their ability to form finer microvias, as well as lines with the damascene process. Figure 3 depicts only one-side processing; however, two-side processing is usually carried out for panel substrates. These steps are repeated to form multiple metal layers on the core to form an interposer or a package substrate. Traditional SAP involves wet processing techniques such as wet electroless deposition, photoresist development and stripping, and copper etching. The polymer dielectrics used to build up layers need to be resistant to the acidic and basic chemicals involved.
Figure 2. Package foundry bump pitch and lithography reaching Si wafer BEOL [16].
Figure 2. Package foundry bump pitch and lithography reaching Si wafer BEOL [16].
Polymers 15 03895 g002
While there are many factors influencing adhesion, roughness is one of the main physical properties of the dielectric that influences the adhesion of copper lines onto the dielectric. However, higher roughness is detrimental to the resolution of fine-line features during lithography. Therefore, several materials having low surface roughness have been developed in order to achieve finer RDL wiring. Figure 4 demonstrates the effect that dielectric roughness can have in resolving fine line patterns in photoresists. Two dielectrics with average roughness values (Ra) of 130 nm and 70 nm were evaluated. A positive-tone photoresist was patterned with 2 μ m lines and spaces. A higher roughness results in diffused reflections at the copper–photoresist interface, resulting in residues and incomplete opening of the photoresist [17]. A smoother dielectric gives better results under the same conditions.
Miniaturization of microvias is important for maximizing the IO density of interposers. Figure 5 shows microvias formed in polymer dielectrics. Figure 5a shows a laser drilled microvia with 3 μ m diameter. Figure 5b shows a 4 μ m microvia filled with copper. The effect of the filler material on the via shape can be seen clearly. Smaller microvias are difficult to form in dielectrics with filler and often result in much debris, which creates processing challenges. Therefore, it is necessary to reduce the filler percentage, as well as the filler size for further microvia scaling. Figure 5c shows a microvia with a 3 μ m top diameter formed in a 5 μ m-thick PID using lithography. Tapering of vias is not desirable from the reliability perspective [18]. To achieve smaller and vertical microvias, thinner, filler-less, and higher-resolution PID materials are needed.
The traditional panel-scale SAP utilizes wet desmear followed by electroless deposition for seed layer deposition. Wet desmear involves roughening of the polymer surface using permanganate solution, which provides mechanical interlocking of the seed layer to the polymer. This, however, results in a very rough surface (Ra > 200 nm), leading to challenges during lithography for achieving <5 μ m critical dimensions. Therefore, there is an increased focus on the physical vapor deposition of metal seed layers for higher wiring density RDLs [21]. Layer-to-layer registration is a critical aspect affected by the CTE of the underlying dielectric. The CTE affects the dimensional shifts of the copper pads, thereby affecting the alignment accuracy of microvias. Low CTE and rigid dielectrics are, thus, desired for better via alignment accuracy [22].

3. Electrical Performance

Heterogeneous integration of chiplets is one of the main approaches adopted by the semiconductor industry to achieve higher performances at lower costs. In this approach, separately manufactured chiplets are integrated into an advanced interposer substrate. These heterogeneously integrated systems require high-bandwidth interconnections and low power consumption. Package parasitic losses need to be reduced for improving the signaling and electrical performance of the package. The low resistance and capacitance of RDLs are critical for reducing parasitic losses.
Bandwidth is driven by two factors—the number of IOs and the bit rate per IO (Equation (1)). The number of IOs is determined by the wiring density and the number of layers. The bit rate is determined by the signal speed and interconnect length. Therefore, in order to improve the bandwidth, faster data rates are needed.
B a n d w i d t h = I O / m m × D a t a r a t e / I O
Energy per bit (EPB) is an important metric used to compare the energy efficiency of heterogeneous systems. EPB is directly proportional to capacitance (Equation (2)), and achieving high energy efficiency requires the use of low D k materials in interposers. There are studies indicating that a reduction in D k from 3.9 to 2.4 can reduce the EPB by 40% for an interposer with an interconnect length of 5 mm [23]. The effect of D k on the maximum achievable data rates can be seen in Figure 6. The readability of the signal degrades as the bit rate is increased from 2 Gbps to 16 Gbps. The eye width is just 24.5% of the unit interval at 16 Gbps for silicon RDLs due to the higher capacitance. Silicon-dioxide-based damascene RDLs, thus, have limitations in terms of increasing the data transfer rates.
E P B = 1 2 C T V s w i n g 2
Crosstalk, latency, and losses need to be reduced to improve the bandwidth density of RDLs. Crosstalk mainly originates from the capacitive coupling of metal wires, and losses arise from the resistance and capacitance of the wires. Latency is also a function of the resistance and capacitance of the channels. Therefore, reducing the capacitance of the dielectric leads to improvements in latency, bandwidth, and energy efficiency.
Traditional silicon back-end RDLs use silicon dioxide as a dielectric, which has a high dielectric constant (D k = 4) and, thus, is limited in electrical performance. Therefore, polymer dielectrics with D k < 3 are gaining importance. The dielectric constant and loss are functions of frequency. In typical dielectrics, there are several types of polarization—orientation polarization, ionic polarization, distortion polarization, and electronic polarization [24]. All these are frequency-dependent, and their combined effect determines the dielectric constant at a certain frequency. The dielectric constant of polymers depends on the polarizability of the chemical bonds and groups present in them. Different chemical bonds have different polarizability. Organic molecules and bonds have low polarizability in general. Because of this, the lowest dielectric constant materials are generally polymers. Several polymers have been synthesized so far having low D k for use in RDLs at the chip level [25]. However, wider adoption is absent due to the integration and reliability challenges.
Table 3 shows the values of the polarizability and bond strength of common chemical bonds present in polymers [25]. The polarizability of sigma bonds is lower than that of pi bonds. Saturated hydrocarbon groups are, thus, desired in polymer dielectrics. However, higher bond strength is desired from the mechanical reliability point of view. Low polarizability also means low chemical reactivity of molecular groups, resulting in the poor processability of polymers. Because of these conflicting properties, a balance of various molecular groups is necessary to achieve the desired combination of electrical and mechanical properties of polymer dielectrics. The standard dielectric materials used in organic package substrates are based on epoxy polymers. Traditional epoxy polymers for packaging are primarily a blend of Bisphenol A and epichlorohydrin, shown in Figure 7a,b. The compound containing bromine shown in Figure 7c is added for fire retardancy, which is necessary for packaging applications. Different grades of Ajinomoto Buildup Film (ABF) are some examples of epoxy-based polymer dielectrics. Some epoxies also contain functional groups that are more polar, such as carbonyl and hydroxyl. While these functional groups are beneficial for improving adhesion to metals such as copper and are favorable from that standpoint, they often result in higher losses. Cyanate esters have low dielectric constants and low dielectric loss factors. These have been introduced in epoxy-based materials to reduce losses [26]. The presence of stiffeners in the main chain of the polymer such as phenyl rings limits the mobility of the structure and helps in achieving low loss [27].

4. Mechanical Reliability

A high-performance package substrate consists of multiple layers of polymer dielectrics and conducting metal lines. The reliability issues arise because of the inherent mismatch between the physical and mechanical properties of these layers. Polymer dielectrics have a higher CTE and a lower elastic modulus, whereas copper used for conducting lines has a relatively lower CTE and higher elastic modulus. This mismatch results in the development of stresses. These stresses are developed mainly during the fabrication processes and during the regular operation of electronic devices. Continuous buildup of the stresses ultimately results in physical deformations and, thus, permanent failure of the package and, thereby, the device. It is, therefore, important to design interposers to sustain the stresses developed during their targeted lifetimes. The thermal cycling test (TCT) and the highly accelerated stress test (HAST) are two main reliability tests performed on interposers.

4.1. Polymer–Cu Adhesion

The adhesion of copper to polymer dielectrics is the most-important issue pertaining to the miniaturization of RDL L/S. Delamination of copper traces from the dielectric becomes more probable at finer L/S because of the increase in stresses. Because of the presence of molecular groups having low polarizability, adhesion between the copper seed layer and low-D k polymers is challenging. Low-D k dielectrics have low roughness because of the absence of filler. Because of the smoother surface, adhesion by mechanical interlocking is insignificant. Therefore, it becomes essential to optimize or develop innovative pre-sputtering processes to enhance adhesion. There are several ways of enhancing adhesion reported in the literature [28]—surface roughening, chemical modification of the surface, use of an adhesion-promoting layer, UV treatment [29], etc. The main mechanism of adhesion is the mechanical and chemical interaction between the seed layer and the polymer. Yoong Oh et al. [30] studied the effect of plasma pre-treatment on the adhesion of Cu/Ti to the polymer dielectric. The formation of an interlayer between the titanium seed and ABF was reported. Transmission electron microscopy (TEM) images showed the formation of a few nanometers-thick inter-layer on a plasma-treated ABF dielectric [30]. There are numerous reports on the study of metal–polymer interactions. X-ray photoelectron spectroscopy (XPS) has proven to be an important tool for the study of these interactions [31,32,33,34,35,36,37]. Freilich et al. [35,36] studied the interactions of copper and titanium with polyimide using XPS and ultraviolet photoemission spectroscopy (UPS). Based on their findings, a mechanism for the formation of Ti–polymer bonds was proposed. It was theorized that the interaction of titanium with polyimide led to the formation of Ti-O bonds, followed by Ti–C bonds. The formation of reduced imide as an intermediate was also hypothesized. Burkstrand et al. [37] studied the interactions of evaporated Cu, Ni, and Cr on a variety of polymer substrates. The proposed mechanism consisted of the formation of chelate-like metal–oxygen–polymer complexes. The higher adhesion of metals with certain polymers was attributed to the presence of chelate-like complexes. The effects of different types of plasmas on polymer surfaces have been reported in the literature [30,32,34,38,39,40,41]. The breaking of polymer bonds due to plasma leads to the formation of active chemical species on the polymer surface. This improves the chemical interaction and wettability of the surface and, therefore, aids adhesion. Some reports have also indicated that the effect of plasma diminishes with duration and high temperature [41].
Some of the important processing steps that influence the interactions between metals and polymers are plasma surface treatment, deposition of metals, and annealing. Plasma treatment affects the polymer surface in two ways: it roughens the polymer surface and creates unsatisfied bonds, thereby activating the surface. During the deposition of metal, the arriving atoms may perform a random walk on the surface or diffuse into the polymer. Metal atoms encountering each other on their diffusion path may form aggregates at the surface and in the polymer bulk [42].
By optimizing the pre-sputtering processes, adhesion between the polymer and metal seed layers can be controlled as shown in Figure 8. A higher interaction between the metal and polymer is necessary. In the case of the titanium seed layer, the formation of a larger number of Ti–C bonds enhances adhesion. Figure 9 shows the difference in the XPS spectra of a titanium-deposited polymer dielectric. An increase in adhesion strength was observed from 8.9 N/cm to 11.2 N/cm for the ABF-GX92 dielectric. It corresponded to an 11.9% increase in the Ti–C component in the XPS C-1s spectra [43]. However, it should be noted that, because of the large variety of molecular groups present in polymers, different polymers may require different types of processing to optimize copper–polymer adhesion.

4.2. Thermal Cycling Reliability

Thermal cycling reliability is tested using the JEDEC (Joint Electron Device Engineering Council) standard [44]. For HPC applications, the RDL substrate is subject to temperature cycles from −55 °C to 125 °C. Due to the temperature variations, cyclic stresses are developed in the RDL, leading to fatigue failure in copper. Shinko electric industries have demonstrated an organic interposer with thin-film RDL [2]. The critical dimensions of the RDL in the integrated thin-film high-density organic package (i-THOP) were 2/2 μ m L/S and 10 μ m-diameter microvias. The thermal cycling reliability for 1000 thermal cycles and b-HAST reliability for 150 h were demonstrated. Kudo et al. demonstrated thermal cycling and HAST reliability of a nine-level polymer RDL structure with barrier layers [45,46]. Furuya et al. demonstrated a two-layer RDL structure with 2 μ m L/S and 5 μ m microvias in a polymer dielectric [47]. Hu et al. demonstrated a three-layer RDL structure with 1.5 μ m L/S and 10 μ m microvias using an embedded trench approach [48]. Nair et al. demonstrated the thermal cycling reliability of 4 μ m microvias using the embedded trench process [49]. Okamoto et al. demonstrated the thermal cycling reliability of 3 μ m microvias in a photosensitive polymer dielectric [20]. Figure 10a shows the daisy chain structures fabricated in a PID. Figure 10b shows the evolution of resistance over thermal cycles. The sharp increase in resistance at 1500 cycles was attributed to the cracking at the microvia–pad interface observed in Figure 10c.
Glass-based interposer substrates are gaining importance due to their tunable CTE for optimizing board-level reliability [14]. However, because of the brittle nature of glass, cracking of the glass core is a challenge. Figure 11 shows cracking in a glass substrate at the polymer–glass interface. For the prevention of cracking in glass substrates, thinner, low-stress, low-CTE polymer dielectrics are needed to reduce the stresses on the glass. The optimization of the dicing parameters and pull-back mechanisms have also been shown to be helpful for the prevention of cracking [50].

4.3. Highly Accelerated Stress Test

The highly accelerated stress test (HAST), also known as the pressure cooker test, is critical for determining the reliability of RDL interposers. Moisture absorption by polymers can lead to mechanical and electrical failure in RDLs. Figure 12 shows cracking at various interfaces in Parylene-N due to high moisture uptake during HAST. The test samples were subject to an 85% relative humidity and a 135 °C temperature for 96 h. High moisture absorption is, thus, detrimental to the mechanical rigidity of polymer dielectrics. Low-moisture-absorbing polymers are, therefore, needed for preventing such failures.

4.4. Residual Stresses and Warpage

Residual stress is developed in RDLs because of the thermal processes such as curing and annealing [51]. High residual stresses lead to large warpage of the substrate and can also induce cracks in the dielectric. Residual stress and warpage become critical issues when dealing with large-body interposers and substrates. Kovach et al. used low-stress processes such as electron-beam curing and electroplating to minimize the stress in the copper–polyimide layers [52]. Chen et al. studied the stress relaxation properties of polyimide in the metal–polyimide interface [53]. It was observed that an intermediate polyimide layer offers significant stress relaxation by plastic deformation. Electroplated copper has the most-pronounced effect on stress development due to its high elastic modulus. Electroplated copper undergoes self-annealing, leading to a gradual increase in stress over time [54,55]. Self-annealing of copper can also lead to the formation of voids due to stress migration [56,57]. Warpage of the substrate is proportional to the stress; thus, lowering stress would automatically lead to a lower warpage [58]. Warpage of interposers and substrates is important from the reliability and assembly point of view. Large warpage can lead to solder bridging during the assembly process and could also lead to poor reliability of solder joints due to accumulated stresses. Warpage depends on the modulus, CTE, and dimensions of the substrate. This was illustrated in a study by Hegde et al., wherein warpage was compared for different dielectric materials laminated on FR4. The material having the highest modulus showed the highest warpage, despite a low CTE value. The same study also showed that, when the properties of both the substrate and the dielectric were considered, the thickness, modulus, and CTE of the substrate influenced the warpage more strongly [59]. Figure 13 shows the stress evolution in RDLs with the process steps and copper thickness, respectively. Copper has the most-dominant effect on stress evolution, especially after annealing. Furthermore, with an increasing thickness of the copper, the stress becomes independent of the dielectric thickness and properties. However, the low modulus of the polymer dielectric helps keep the stress low for most parts of the fabrication process. The effects of the dielectric and substrate properties on stresses and warpage have been reported [60]. The elastic modulus and CTE of polymer dielectrics have the most-pronounced effect on the stresses and on RDL reliability.

5. Electrical Reliability

Electrical reliability concerns in polymer RDLs arise due to two main phenomena—ionic migration and dielectric breakdown. As we scale down the RDL dimensions, the electric field between two adjacent lines increases because of the reduction in the conductor spacing. The elevated electric field plays an important role in determining the electrical reliability of RDL L/S. Ionic impurities in the presence of moisture give rise to a higher ionic migration rate. Additionally, a higher electric field combined with elevated operating temperatures leads to leakage and dielectric breakdown. It is very crucial to address both of these challenges for achieving electrically reliable RDLs. Electrical reliability evaluations are conducted according to the JEDEC reliability standard [61].

5.1. Ionic Migration

Polymers contain ionic impurities originating from the byproducts during polymer synthesis [62,63]. Because of these impurities, polymer dielectrics act as electrolytes facilitating the transfer of metal ions across two conducting lines [64]. As we reduce the RDL L/S, the electric field across two lines increases and leads to an increase in the rate of migration of metal ions from the anode to the cathode. This can lead to the formation of conducting pathways or dendrites [65,66] across two conductors, leading to shorting. Figure 14a shows oxidation and shorting of comb structures coated with BCB dielectric. The test samples were subject to biased-HAST (b-HAST) conditions of an 85% relative humidity, 135 °C temperature, and 5 V applied bias for 96 h. Moisture absorption during b-HAST led to the oxidation of copper. The reaction of copper with oxygen from the polymer backbone is also a possibility. Figure 14b shows dendrite formation due to ionic impurities present in the dielectric. To prevent failures due to ionic migration, it is important to minimize ionic impurities arising from the polymerization reactions. Additionally, it is important to reduce moisture absorption by the careful selection of molecular groups during the formulation of polymer dielectrics.

5.2. Dielectric Breakdown and Leakage

The dielectric breakdown strength is an important property for the electrical reliability of polymer RDLs. When a voltage is applied across a dielectric, the electrical insulation of the dielectric fails at a certain value of voltage, leading to a high leakage current. This phenomenon is known as “dielectric breakdown”. It is typically observed as an electrical arc across the electrodes, resulting in a catastrophic decrease in insulation resistance. The leakage current in polymer dielectrics does not follow Ohm’s law. Before the onset of breakdown, the current density across the electrodes increases almost exponentially with the electric field. Once reaching the breakdown potential, it abruptly increases to extremely high values, thus destroying the dielectric by burning due to localized high current densities. There are different mechanisms of dielectric breakdown reported in the literature—intrinsic, avalanche, thermal, hopping, charge-injection, and electro-mechanical breakdown [67,68,69,70]. Thermal breakdown occurs when the dielectric is overheated by an electric current, causing the polymer to melt or burn at a certain voltage. In this case, the dielectric strength is proportional to the square root of the plastic’s thermal and electrical conductivity ratio [68]. In this case, impact ionization is the most-common cause of electrical breakdown. The chemical and molecular structure of polymers affects the bond characteristics, as shown in Table 3, and thereby, the dielectric strength of polymers. The breakdown strength is directly proportional to the elastic modulus and inversely proportional to the dielectric constant [71]. Figure 15 shows the results of biased-HAST on BCB-coated comb structures. The test conditions were the same as mentioned earlier. The effect of conductor spacing on the failure time is clearly demonstrated. For a 5 μ m conductor spacing, failure did not occur even after 100 h, while for a 1 μ m spacing, failure occurred within 20 h. This was attributed to the dielectric breakdown of the polymer at elevated temperatures and at high electric fields. Figure 16 shows SEM images of electrical failure in comb structures with Parylene-C as the dielectric. The high leakage current caused localized melting of copper, leading to electrical shorting, possibly due to thermal breakdown. Energy dispersive spectroscopy (EDS) maps showed chlorine concentration and clustering around Cu traces, denoting accelerated failure due to the ionization of chlorine atoms.
Table 4 shows the dielectric constant and dielectric strength values of relevant dielectric materials used in packaging. Theoretically, a bias voltage of 530 V needs to be applied to cause dielectric breakdown across two conductors with a 1 μ m spacing and BCB as the dielectric. However, in the b-HAST experiments, the dielectric strength was found to be significantly lower than the values from the datasheet. This is because the breakdown strength is significantly degraded by the presence of defects and impurities. Furthermore, various other factors such as ramp rate, dielectric thickness, and temperature affect the measured dielectric strength values. Therefore, detailed studies need to be carried out to understand the effects of all these factors on dielectric breakdown, as well as on the electrical reliability of polymer dielectrics. With the miniaturization of critical dimensions in package RDLs, defect-free polymer dielectrics having high breakdown strengths are necessary for achieving electrical reliability.

6. Summary and Future Needs

The overall trend in the semiconductor industry is towards heterogeneous integration of chiplets onto interposers. Multiple high-bandwidth memory dies are expected to be integrated with logic dies all onto a single interposer. This requires larger interposer body sizes. This translates to performance, processing, and reliability challenges with respect to polymer dielectrics. The following list summarizes the critical needs.
  • Lower D k (<2.5) dielectrics are needed for achieving higher bandwidth densities, as well as for minimizing losses and latency. With larger interposer and substrate sizes, the total length of connections between chiplets is going to be longer than the traditional homogeneously integrated chips. This necessitates lower RDL capacitance for maintaining the electrical performance and loss budgets.
  • Novel processing techniques are needed for integrating new dielectric materials. This needs to be performed using large panel-scale processing to lower the cost of larger substrates.
  • Thinner dielectric layers (<5 μ m) are needed for reducing the overall buildup thickness. Larger substrates will have restrictively higher warpages with the current RDL design rules. It is critical to use thinner dielectrics and build thinner substrates. Additionally, thinner dielectrics are desired to make smaller and reliable microvias with diameters smaller than 5 μ m.
  • Low-CTE (<40 ppm/K) and low-stress polymers are needed to minimize the stresses induced in RDLs. The miniaturization of RDLs will be restricted with the high stresses induced by current high-CTE dielectrics. The stability of CTE and mechanical properties up to the operating and solder reflow temperatures is also critical.
  • Fillers in polymer dielectrics create processing, yield, and reliability challenges in achieving finer RDL dimensions, as discussed in Section 2. Filler-less polymer dielectrics with low roughness (Ra < 20 nm) are needed for the scaling of RDL lines, as well as microvias.
  • Defect-free polymers with high dielectric breakdown strength are needed to prevent electrical failures in RDLs. Additionally, low moisture absorption (<0.1 wt%) and zero ionic content are critical to prevent ionic migration of metal atoms.

Author Contributions

Conceptualization, P.N., P.B., M.K., M.S. and R.R.T.; resources, P.N. and P.B.; writing—original draft preparation, P.N. and P.B.; writing—review and editing, M.K.; supervision, M.K., M.S. and R.R.T.; project administration, M.K., M.S. and R.R.T.; funding acquisition, M.S. and R.R.T. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the industry consortium at the 3D Systems Packaging Research Center, Georgia Institute of Technology, Atlanta, GA, USA.

Acknowledgments

The authors would like to thank Muhannad Bakir and the members of the industry consortium at the 3D Systems Packaging Research Center, Georgia Institute of Technology, Atlanta, GA, USA for their support and technical guidance.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Mahajan, R.; Qian, Z.; Viswanath, R.S.; Srinivasan, S.; Aygün, K.; Jen, W.L.; Sharan, S.; Dhall, A. Embedded multidie interconnect bridge—A localized, high-density multichip packaging interconnect. IEEE Trans. Compon. Packag. Manuf. Technol. 2019, 9, 1952–1962. [Google Scholar] [CrossRef]
  2. Oi, K.; Otake, S.; Shimizu, N.; Watanabe, S.; Kunimoto, Y.; Kurihara, T.; Koyama, T.; Tanaka, M.; Aryasomayajula, L.; Kutlu, Z. Development of new 2.5 D package with novel integrated organic interposer substrate with ultra-fine wiring and high density bumps. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, USA, 27–30 May 2014; IEEE: Piscataway, NJ, USA, 2014; pp. 348–353. [Google Scholar]
  3. Yamada, T. Organic Interposer and Embedded Substrate. In Proceedings of the 2015 Packaging Symposium, Braşov, Romania, 22–25 October 2015; Kyocera America, Inc.: Los Angeles, CA, USA, 2015. [Google Scholar]
  4. Hou, S.; Chen, W.C.; Hu, C.; Chiu, C.; Ting, K.; Lin, T.; Wei, W.; Chiou, W.; Lin, V.J.; Chang, V.C.; et al. Wafer-level integration of an advanced logic-memory system through the second-generation CoWoS technology. IEEE Trans. Electron Devices 2017, 64, 4071–4077. [Google Scholar] [CrossRef]
  5. Tsukamoto, K.; Kajiki, A.; Kunimoto, Y.; Mizuno, M.; Nakamura, M.; Nakazawa, S.; Koyama, T. Analysis on signal and power integrity of 2.3 D structure organic package. Int. Symp. Microelectron. 2019, 2019, 000381–000386. [Google Scholar] [CrossRef]
  6. Lee, K. High-density fan-out technology for advanced SiP and 3D heterogeneous integration. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018. [Google Scholar]
  7. Zwenger, C.; Huemoeller, R.; Kim, J.; Kim, D.; Do, W.; Seo, S. Silicon wafer integrated fan-out technology. Addit. Pap. Present. 2015, 2015, 000217–000247. [Google Scholar] [CrossRef]
  8. Kim, J.; Choi, I.; Park, J.; Lee, J.E.; Jeong, T.; Byun, J.; Ko, Y.; Hur, K.; Kim, D.W.; Oh, K.S. Fan-out panel level package with fine pitch pattern. In Proceedings of the 2018 IEEE 68th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 29 May–1 June 2018; IEEE: Piscataway, NJ, USA, 2018; pp. 52–57. [Google Scholar]
  9. Ishida, M. APX (Advanced Package X)-Advanced organic technology for 2.5 D interposer. In Proceedings of the 2014 CPMT Seminar, Latest Advances in Organic Interposers, Orlando, FL, USA, 27–30 May 2014; pp. 27–30. [Google Scholar]
  10. Kudo, H.; Aritsuka, Y.; Masaya, T.; Kasai, R.; Suyama, J.; Takeda, M.; Okazaki, Y.; Iida, H.; Kitayama, D.; Sakamoto, K.; et al. Introduction of Sub-2-micron Cu traces to EnCoRe enhanced copper redistribution layers for heterogeneous chip integration. In Proceedings of the 2018 International Conference on Electronics Packaging and iMAPS All Asia Conference (ICEP-IAAC), Mie, Japan, 17–21 April 2018; IEEE: Piscataway, NJ, USA, 2018; pp. 399–404. [Google Scholar]
  11. Huemoeller, R. Amkor’s SLIM & SWIFT Package Technology. Amkor Technology, SVP Advanced Package Technology Develop & IP. 2015. Available online: https://www.3dincites.com/wp-content/uploads/slim-swift-customer-overview-may-13-2015.pdf (accessed on 14 September 2023).
  12. Liu, F.; Nimbalkar, P.; Aslani-Amoli, N.; Kathaperumal, M.; Tummala, R.; Swaminathan, M. A Critical Review of Lithography Methodologies and Impacts of Topography on 2.5 D/3D Interposers. IEEE Trans. Compon. Packag. Manuf. Technol. 2023, 13, 291–299. [Google Scholar] [CrossRef]
  13. Liu, F.; Zhang, R.; DeProspo, B.H.; Dwarakanath, S.; Nimbalkar, P.; Ravichandran, S.; Weyers, D.; Kathaperumal, M.; Tummala, R.R.; Swaminathan, M. Advances in High Performance RDL Technologies for Enabling IO Density of 500 IOs/mm/layer and 8-micron IO Pitch Using Low-k Dielectrics. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 1132–1139. [Google Scholar] [CrossRef]
  14. Tummala, R.; Deprospo, B.; Dwarakanath, S.; Ravichandran, S.; Nimbalkar, P.; Nedumthakady, N.; Swaminathan, M. Glass Panel Packaging, as the Most Leading-Edge Packaging: Technologies and Applications. In Proceedings of the 2020 Pan Pacific Microelectronics Symposium (Pan Pacific), Kohala Coast, HI, USA, 10–13 February 2020; pp. 1–5. [Google Scholar] [CrossRef]
  15. Nimbalkar, P.; Aguebor, M.; Kathaperumal, M.; Swaminathan, M.; Tummala, R. Evaluation of Parylene-HT as Dielectric for Application in Advanced Package Substrates. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023. [Google Scholar]
  16. Tummala, R.; Swaminathan, M.; Nimbalkar, P. A new and historic packaging era. Chip Scale Rev. 2022, 26, 6–10. [Google Scholar]
  17. DeProspo, B. Modeling, Design and Demonstration of 1 μm Wide Low Resistance Panel Redistribution Layer Technology for High Performance Computing Applications. Ph.D. Thesis, Georgia Institute of Technology, Atlanta, GA, USA, 2020. [Google Scholar]
  18. Nimbalkar, P.; Kathaperumal, M.; Liu, F.; Swaminathan, M.; Tummala, R. Reliability Modeling of Micro-vias in High-Density Redistribution Layers. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), Virtual, 1 June–4 July 2021; pp. 983–988. [Google Scholar] [CrossRef]
  19. Liu, F.; Ito, H.; Zhang, R.; DeProspo, B.H.; Benthaus, F.; Akimaru, H.; Hasegawa, K.; Sundaram, V.; Tummala, R.R. Low cost panel-based 1-2 micron RDL technologies with lower resistance than Si BEOL for large packages. In Proceedings of the 2018 IEEE 68th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 29 May–1 June 2018; IEEE: Piscataway, NJ, USA, 2018; pp. 613–618. [Google Scholar]
  20. Okamoto, D.; Shibasaki, Y.; Shibata, D.; Hanada, T.; Liu, F.; Kathaperumal, M.; Tummala, R.R. Fabrication and Reliability Demonstration of 3 μm Diameter Photo Vias at 15 μm Pitch in Thin Photosensitive Dielectric Dry Film for 2.5 D Glass Interposer Applications. In Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2019; IEEE: Piscataway, NJ, USA, 2019; pp. 2112–2116. [Google Scholar]
  21. Nimbalkar, P.; Bhaskar, P.; Blancher, C.; Kathaperumal, M.; Swaminathan, M.; Tummala, R. Novel zero side-etch process for < 1 μm package redistribution layers. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022; IEEE: Piscataway, NJ, USA, 2022; pp. 2168–2173. [Google Scholar]
  22. Nair, C. Modeling, Design, Materials, Processes and Reliability of Multi-Layer Redistribution Wiring Layers on Glass Substrates for Next Generation of High-Performance Computing Applications. Ph.D. Thesis, Georgia Institute of Technology, Atlanta, GA, USA, 2019. [Google Scholar]
  23. Swaminathan, M.; Ravichandran, S. Heterogeneous Integration for AI application: Status and future needs. Chip Scale Rev. 2022, 26, 35–46. [Google Scholar]
  24. Wei, J.; Zhu, L. Intrinsic polymer dielectrics for high energy density and low loss electric energy storage. Prog. Polym. Sci. 2020, 106, 101254. [Google Scholar] [CrossRef]
  25. Kohl, P.A. Low–dielectric constant insulators for future integrated circuits and packages. Annu. Rev. Chem. Biomol. Eng. 2011, 2, 379–401. [Google Scholar] [CrossRef]
  26. Polymers in Electronic Packaging: Build-Up Films for Flip Chip Semiconductor Substrates, Part Two. Available online: https://polymerinnovationblog.com/polymers-in-electronic-packaging-build-up-films-for-flip-chip-semiconductor-substrates-part-two/ (accessed on 14 September 2023).
  27. Sundaram, V. Advances in Electronic Packaging Technologies by Ultra-Small Microvias, Super-Fine Interconnections and Low Loss Polymer Dielectrics. Ph.D. Thesis, Georgia Institute of Technology, Atlanta, GA, USA, 2009. [Google Scholar]
  28. Horiuchi, M.; Yamasaki, T.; Shimizu, Y. Metallization Technologies on a Smooth Resin Surface for the Next Generation of Flip Chip Packaging. Trans. Jpn. Inst. Electron. Packag. 2010, 3, 110–115. [Google Scholar] [CrossRef]
  29. Inoue, K.; Matsui, K.; Watanabe, M.; Honma, H. Surface Modification of Polyimide Using UV Light and Formation of Circuit Patterns. J. Surf. Finish. Soc. Jpn. 2008, 59, 47. [Google Scholar] [CrossRef]
  30. Oh, Y.; Kim, E.J.; Kim, Y.; Choi, K.; Han, W.B.; Kim, H.S.; Yoon, C.S. Adhesion of sputter-deposited Cu/Ti film on plasma-treated polymer substrate. Thin Solid Film. 2016, 600, 90–97. [Google Scholar] [CrossRef]
  31. Friedrich, J.F.; Koprinarov, I.; Giebler, R.; Lippitz, A.; Unger, W.E.S. Reactions and Intermediates at the Metal-Polymer Interface as Observed by XPS and NEXAFS Spectroscopy. J. Adhes. 1999, 71, 297–321. [Google Scholar] [CrossRef]
  32. Kim, S.H.; Na, S.W.; Lee, N.E.; Nam, Y.W.; Kim, Y.H. Effect of surface roughness on the adhesion properties of Cu/Cr films on polyimide substrate treated by inductively coupled oxygen plasma. Surf. Coat. Technol. 2005, 200, 2072–2079. [Google Scholar] [CrossRef]
  33. Kim, S.H.; Cho, S.H.; Lee, N.E.; Kim, H.M.; Nam, Y.W.; Kim, Y.H. Adhesion properties of Cu/Cr films on polyimide substrate treated by dielectric barrier discharge plasma. Surf. Coat. Technol. 2005, 193, 101–106. [Google Scholar] [CrossRef]
  34. Paik, K.W.; Cole, H.S.; Saia, R.J.; Chera, J.J. Studies on metal/benzocyclobutene (BCB) interface and adhesion. J. Adhes. Sci. Technol. 1993, 7, 403–415. [Google Scholar] [CrossRef]
  35. Freilich, S.; Ohuchi, F. Reactions at the polyimide-metal interface. Polymer 1987, 28, 1908–1914. [Google Scholar] [CrossRef]
  36. Ohuchi, F.S.; Freilich, S.C. Metal polyimide interface: A titanium reaction mechanism. J. Vac. Sci. Technol. A 1986, 4, 1039–1045. [Google Scholar] [CrossRef]
  37. Burkstrand, J.M. Metal-polymer interfaces: Adhesion and x-ray photoemission studies. J. Appl. Phys. 1981, 52, 4795–4800. [Google Scholar] [CrossRef]
  38. Nakamura, Y.; Suzuki, Y.; Watanabe, Y. Effect of oxygen plasma etching on adhesion between polyimide films and metal. Thin Solid Film. 1996, 290–291, 367–369. [Google Scholar] [CrossRef]
  39. Lee, S.B.; Kim, Y.K. Adhesion Improvement of Polyimide/Metal Interface by He/O2/NF3 Atmospheric Pressure Plasma. Plasma Process. Polym. 2009, 6, S525–S529. [Google Scholar] [CrossRef]
  40. Nguyen, T.P.; Lahmar, A.; Jonnard, P. Adhesion Improvement of Poly(Phenylene-Vinylene) Substrates Induced by Argon-Oxygen Plasma Treatment. J. Adhes. 1998, 66, 303–317. [Google Scholar] [CrossRef]
  41. Egitto, F.D.; Matienzo, L.J. Plasma modification of polymer surfaces for adhesion improvement. IBM J. Res. Dev. 1994, 38, 423–439. [Google Scholar] [CrossRef]
  42. Faupel, F.; Zaporojtchenko, V.; Thran, A.; Strunskus, T.; Kiene, M. 7-Metal Diffusion in Polymers and on Polymer Surfaces. In Diffusion Processes in Advanced Technological Materials; Gupta, D., Ed.; William Andrew Publishing: Norwich, NY, USA, 2005; pp. 333–363. [Google Scholar] [CrossRef]
  43. Nimbalkar, P.; Blancher, C.; Kathaperumal, M.; Swaminathan, M.; Tummala, R. Effect of titanium-polymer interactions on adhesion of polymer-copper redistribution layers in advanced packaging. IEEE Trans. Device Mater. Reliab. 2022, 22, 59–64. [Google Scholar] [CrossRef]
  44. Jedec Solid State Technology Association. JEDEC Standard-Temperature Cycling-JESD22-A104D; JEDEC: Arlington County, VA, USA, 2009. [Google Scholar]
  45. Kudo, H.; Takano, T.; Tanaka, M.; Kasai, R.; Suyama, J.; Akazawa, M.; Takeda, M.; Mawatari, H.; Sasao, T.; Okazaki, Y.; et al. A Characterized Redistribution Layer Architecture for Advanced Packaging Technologies. In Proceedings of the 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 31 May–3 June 2016; IEEE: Piscataway, NJ, USA, 2016; pp. 2063–2067. [Google Scholar]
  46. Kudo, H.; Kasai, R.; Suyama, J.; Takeda, M.; Okazaki, Y.; Iida, H.; Kitayama, D.; Sasao, T.; Sakamoto, K.; Sato, H.; et al. Demonstration of high electrical reliability of sub-2 Micron Cu traces covered with inorganic dielectrics for advanced packaging technologies. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; IEEE: Piscataway, NJ, USA, 2017; pp. 1849–1854. [Google Scholar]
  47. Furuya, R.; Lu, H.; Liu, F.; Deng, H.; Ando, T.; Sundaram, V.; Tummala, R. Demonstration of 2 μm RDL wiring using dry film photoresists and 5 μm RDL via by projection lithography for low-cost 2.5 D panel-based glass and organic interposers. In Proceedings of the 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 26–29 May 2015; IEEE: Piscataway, NJ, USA, 2015; pp. 1488–1493. [Google Scholar]
  48. Hu, D.C.; Yeh, W.L.; Chen, Y.H.; Tain, R. 2/2um Embedded Fine Line Technology for Organics Interposer Applications. In Proceedings of the 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 31 May–3 June 2016; IEEE: Piscataway, NJ, USA, 2016; pp. 147–152. [Google Scholar]
  49. Nair, C.; DeProspo, B.; Hichri, H.; Arendt, M.; Liu, F.; Sundaram, V.; Tummala, R. Reliability Studies of Excimer Laser-Ablated Microvias Below 5 Micron Diameter in Dry Film Polymer Dielectrics for Next Generation, Panel-Scale 2.5 D Interposer RDL. In Proceedings of the 2018 IEEE 68th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 29 May–1 June 2018; IEEE: Piscataway, NJ, USA, 2018; pp. 1005–1009. [Google Scholar]
  50. McCann, S.; Sato, Y.; Sundaram, V.; Tummala, R.R.; Sitaraman, S.K. Prevention of cracking from RDL stress and dicing defects in glass substrates. IEEE Trans. Device Mater. Reliab. 2015, 16, 43–49. [Google Scholar] [CrossRef]
  51. Nimbalkar, P.; Liu, F.; Watanabe, A.; Weyers, D.; Kathaperumal, M.; Lin, C.P.; Naohito, F.; Makita, T.; Watanabe, N.; Kubo, A.; et al. Fabrication and reliability demonstration of 5 μm redistribution layer using low-stress dielectric dry film. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 62–67. [Google Scholar] [CrossRef]
  52. Kovach, D.; Amirgulyan, N.; CHIEN, C.P.; Tanielian, M. Minimizing stress in Cu/polyimide processes for large format MCM manufacturing. Int. J. Microcircuits Electron. Packag. 2000, 23, 70–77. [Google Scholar]
  53. Chen, S.; Yang, C.; Faupel, F.; Ho, P. Stress relaxation during thermal cycling in metal/polyimide layered films. J. Appl. Phys. 1988, 64, 6690–6698. [Google Scholar] [CrossRef]
  54. Lagrange, S.; Brongersma, S.; Judelewicz, M.; Saerens, A.; Vervoort, I.; Richard, E.; Palmans, R.; Maex, K. Self-annealing characterization of electroplated copper films. Microelectron. Eng. 2000, 50, 449–457. [Google Scholar] [CrossRef]
  55. Hara, T.; Toida, H.; Shimura, Y. The self-annealing phenomenon in copper interconnection. Electrochem. Solid State Lett. 2003, 6, G98. [Google Scholar] [CrossRef]
  56. Matsuyama, H.; Suzuki, T.; Nakamura, T.; Shiozu, M.; Ehara, H.; Oshima, M.; Soeda, T.; Hosoi, H.; Yamabe, K. Voiding generation in copper interconnect under room temperature storage in 12 years. Jpn. J. Appl. Phys. 2017, 56, 07KG01. [Google Scholar] [CrossRef]
  57. Matsuyama, H.; Suzuki, T.; Shiozu, M.; Ehara, H.; Soeda, T.; Hosoi, H.; Oshima, M.; Yamabe, K. Verification of Copper Stress Migration Under Low Temperature Long Time Stress. In Proceedings of the 2019 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 31 March–4 April 2019; IEEE: Piscataway, NJ, USA, 2019; pp. 1–5. [Google Scholar]
  58. Zhu, C.; Ning, W.; Xu, G.; Luo, L. Stress evolution during thermal cycling of copper/polyimide layered structures. Mater. Sci. Semicond. Process. 2014, 27, 819–826. [Google Scholar] [CrossRef]
  59. Hegde, S.; Pucha, R.V.; Sitaraman, S.K. Enhanced reliability of high-density wiring (HDW) substrates through new base substrate and dielectric materials. J. Mater. Sci. Mater. Electron. 2004, 15, 287–296. [Google Scholar] [CrossRef]
  60. Mahalingam, S.; Hegde, S.; Ramakrishna, G.; Pucha, R.V.; Sitaraman, S.K. Material interaction effects in the reliability of high density interconnect (HDI) boards. In Proceedings of the ASME International Mechanical Engineering Congress and Exposition, Washington, DC, USA, 15–21 November 2003; Volume 37149, pp. 165–170. [Google Scholar]
  61. JESD22-A110C; Highly Accelerated Temperature and Humidity Stress Test (HAST). JEDEC Solid State Technology Association: Arlington, TX, USA, 2009.
  62. Oka, Y.; Koizumi, N. Effects of impurity ions on electrical properties of poly (vinylidene fluoride). Polym. J. 1982, 14, 869–876. [Google Scholar] [CrossRef]
  63. Egginger, M.; Schwoediauer, R. Analysis of mobile ionic impurities in polyvinylalcohol thin films by thermal discharge current and dielectric impedance spectroscopy. AIP Adv. 2012, 2, 042152. [Google Scholar] [CrossRef]
  64. Bumiller, E.; Hillman, C. A review of models for time-to-failure due to metallic migration mechanisms. In White Paper Issued by DfR Solutions; DfR Solutions: College Park, Maryland, USA, 2009. [Google Scholar]
  65. Lambert, D.; Gannamani, R.; Blish, R. Dendrite fuse re-growth kinetics on organic substrates for microprocessors. In Proceedings of the 2004 IEEE International Reliability Physics Symposium, Phoenix, AZ, USA, 25–29 April 2004; IEEE: Piscataway, NJ, USA, 2004; pp. 543–546. [Google Scholar]
  66. Kim, S.A.; Ahn, D.S.; Eum, Y.H.; Kim, D.H.; Kim, Y.B. Case study of copper dendrite growth under HAST test. In Proceedings of the 2008 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits, Singapore, 7–11 July 2008; IEEE: Piscataway, NJ, USA, 2008; pp. 1–3. [Google Scholar]
  67. Zhao, L.; Liu, C.L. Review and mechanism of the thickness effect of solid dielectrics. Nanomaterials 2020, 10, 2473. [Google Scholar] [CrossRef]
  68. Karlsson, M. Investigation of the Dielectric Breakdown Strength of Polymer Nanocomposites. 2014. Available online: https://www.diva-portal.org/smash/record.jsf?pid=diva2%3A731544&dswid=9814 (accessed on 14 September 2023).
  69. Ho, J.; Jow, T.R. High field conduction in biaxially oriented polypropylene at elevated temperature. IEEE Trans. Dielectr. Electr. Insul. 2012, 19, 990–995. [Google Scholar] [CrossRef]
  70. Ju, T.; Chen, X.; Langhe, D.; Ponting, M.; Baer, E.; Zhu, L. Enhancing breakdown strength and lifetime of multilayer dielectric films by using high temperature polycarbonate skin layers. Energy Storage Mater. 2022, 45, 494–503. [Google Scholar] [CrossRef]
  71. Qiao, R.; Wang, C.; Chen, S.; He, G.; Liu, Z.; Luo, H.; Zhang, D. High-temperature dielectric polymers with high breakdown strength and energy density via constructing the electron traps in blends. Compos. Part Appl. Sci. Manuf. 2022, 152, 106679. [Google Scholar] [CrossRef]
  72. Dow. Cyclotene 4000 Series Advanced Electronic Resins. Available online: https://kayakuam.com/wp-content/uploads/2019/10/cyclotene_4000_immersion_dev.pdf (accessed on 14 September 2023).
  73. Specialty Coating Systems (SCS). SCS Parylene Properties; Specialty Coating Systems: Melbourne, FL, USA, 2016. [Google Scholar]
  74. Gotro, J. Polymers in Electronics Part Seven: Redistribution Layers for Fan-Out Wafer Level Packaging. Available online: https://polymerinnovationblog.com/polymers-electronics-part-seven-redistribution-layers-fan-wafer-level-packaging/ (accessed on 14 September 2023).
  75. Microsystems, H. HD-8820 Aqueous Positive Polyimide. Available online: https://rndmate.com/download?path=request_attachments/HD-8820_ProcessGuide.pdf (accessed on 14 September 2023).
  76. Kuraray. Available online: https://www.kuraray.eu/fileadmin/industry_solutions/electronics/downloads/kuraray_FCCL_Brochure_EN_0531ol.pdf (accessed on 14 September 2023).
  77. Kerwien, C.M.; Malandro, D.L.; Broomall, J.R. Large area DC dielectric breakdown voltage measurement of BOPP and PTFE thin films. In Proceedings of the 2016 IEEE Conference on Electrical Insulation and Dielectric Phenomena (CEIDP), Toronto, ON, Canada, 16–19 October 2016; IEEE: Piscataway, NJ, USA, 2016; pp. 486–489. [Google Scholar]
  78. Bartzsch, H.; Glöß, D.; Frach, P.; Gittner, M.; Schultheiß, E.; Brode, W.; Hartung, J. Electrical insulation properties of sputter-deposited SiO2, Si3N4 and Al2O3 films at room temperature and 400 C. Phys. Status Solidi (A) 2009, 206, 514–519. [Google Scholar] [CrossRef]
Figure 1. Schematic stack up of interposer/package substrate.
Figure 1. Schematic stack up of interposer/package substrate.
Polymers 15 03895 g001
Figure 3. Multilayer semi-additive process flow for package substrate fabrication.
Figure 3. Multilayer semi-additive process flow for package substrate fabrication.
Polymers 15 03895 g003
Figure 4. Effect of dielectric roughness on photoresist profile.
Figure 4. Effect of dielectric roughness on photoresist profile.
Polymers 15 03895 g004
Figure 5. (a) Laser drilled microvia in ABF [19]; (b) tapered, Cu-filled microvia; (c) 3 μ m-diameter microvia formed in a photo-dielectric [20].
Figure 5. (a) Laser drilled microvia in ABF [19]; (b) tapered, Cu-filled microvia; (c) 3 μ m-diameter microvia formed in a photo-dielectric [20].
Polymers 15 03895 g005
Figure 6. Effect of D k on signaling performance [22].
Figure 6. Effect of D k on signaling performance [22].
Polymers 15 03895 g006
Figure 7. Structure of the main constituents of epoxy resins: (a) Bisphenol A (b) epichlorhydrin, and (c) bromine containing compound [27].
Figure 7. Structure of the main constituents of epoxy resins: (a) Bisphenol A (b) epichlorhydrin, and (c) bromine containing compound [27].
Polymers 15 03895 g007
Figure 8. Adhesion and roughness values for different dielectrics [43].
Figure 8. Adhesion and roughness values for different dielectrics [43].
Polymers 15 03895 g008
Figure 9. XPS C-1s spectra of ABF-GX92 showing the effect of plasma processes on the Ti–C interaction [43].
Figure 9. XPS C-1s spectra of ABF-GX92 showing the effect of plasma processes on the Ti–C interaction [43].
Polymers 15 03895 g009
Figure 10. (a) Daisy chain structures formed using PID (b); resistance change with thermal cycling; (c) crack formation at microvia–pad interface [20].
Figure 10. (a) Daisy chain structures formed using PID (b); resistance change with thermal cycling; (c) crack formation at microvia–pad interface [20].
Polymers 15 03895 g010
Figure 11. Cracking at polymer–glass interface after thermal cycling. (a) Schematic of stack up; (b) cracked glass specimen; (c) SEM image of cohesive cracking of glass; (d,e) SEM image of the corner of glass–polymer interface [50].
Figure 11. Cracking at polymer–glass interface after thermal cycling. (a) Schematic of stack up; (b) cracked glass specimen; (c) SEM image of cohesive cracking of glass; (d,e) SEM image of the corner of glass–polymer interface [50].
Polymers 15 03895 g011
Figure 12. Cracking in Parylene-N due to moisture absorption during highly accelerated stress test.
Figure 12. Cracking in Parylene-N due to moisture absorption during highly accelerated stress test.
Polymers 15 03895 g012
Figure 13. Residual stresses in RDLs (a) at various steps in fabrication and (b) for different copper thickness values [51].
Figure 13. Residual stresses in RDLs (a) at various steps in fabrication and (b) for different copper thickness values [51].
Polymers 15 03895 g013
Figure 14. (a) Oxidation of Cu during the b-HAST of BCB-covered comb structures. (b) Dendrite formation due to ionic impurities [64].
Figure 14. (a) Oxidation of Cu during the b-HAST of BCB-covered comb structures. (b) Dendrite formation due to ionic impurities [64].
Polymers 15 03895 g014
Figure 15. Effect of conductor spacing on insulation resistance of BCB-coated comb structures.
Figure 15. Effect of conductor spacing on insulation resistance of BCB-coated comb structures.
Polymers 15 03895 g015
Figure 16. Dielectric breakdown in Parylene-C. EDS maps show the concentration of Cu and Cl around traces.
Figure 16. Dielectric breakdown in Parylene-C. EDS maps show the concentration of Cu and Cl around traces.
Polymers 15 03895 g016
Table 1. State-of-the-art in RDL technologies.
Table 1. State-of-the-art in RDL technologies.
TechnologyPackage ArchitectureDielectricDiel. Const.Diel. Thickness ( μ m)RDL L/S/via ( μ m)Process
Shinko iTHOP [2,5]2.5D organic interposerPolymer3.9>52/2/10Semi-additive
Amkor SWIFT [6,7]Fan-out (wafer)Polyimide3–3.6>152/2/10Semi-additive
SEMCO [8]Fan-out (panel)PBO3.1>52/2/6Semi-additive
Kyocera APX [3,9]2.5D organic interposerEpoxy3.1>86/6/15Semi-additive
DNP [10]2.5D glass interposerPolyimide3–3.6>122/2/20Semi-additive
Amkor SLIM [11]2.5D interposerSiO 2 4>22/2/2Damascene
Intel EMIB [1]Si bridgeSiO 2 4>22/2/2Damascene
TSMC CoWoS [4]2.5D Si interposerSiO 2 4>20.5/0.5Damascene
Table 2. Survey of commercially available polymer dielectrics.
Table 2. Survey of commercially available polymer dielectrics.
PropertiesManufacturerDkDfFilm TypeElastic Modulus (GPa)CTE (ppm/K)Max. Elongation (%)Tensile strength (MPa)Curing Temp. ( ° C)Glass Trans. Temp. ( ° C)Moisture Abs. (%)
Dielectric
ABF GX92Ajinomoto3.20.017DF (>5  μ m)5395.698180168<1
ABF GX-T31Ajinomoto3.40.014DF (>15  μ m)7.5232.4104170172<0.6
ABF GX-E4Ajinomoto3.40.0093DF (>15  μ m)13120.898-180<0.4
ABF GX-E5Ajinomoto3.30.0073DF (>15  μ m)17100.8106-212<0.4
ABF GX13Ajinomoto3.10.019DF (>15  μ m)446593-177<1.1
ABF GZ22Ajinomoto3.20.011DF (>15  μ m)6.4313.2116-192<0.6
ABF GZ41Ajinomoto3.30.0074DF (>15  μ m)9201.7120-198<0.5
ABF GY11Ajinomoto3.20.0042DF (>15  μ m)8.9263.2115-165<0.2
ALXAGC2.60.003liquid2.46030100190230<0.4
AM-270Asahi Kasei2.9-liquid2.750–6060>1203503000.6
MA-1000Asahi Kasei3.9-liquid3.630–4050>1202202401.8
BL-300Asahi Kasei3.3-liquid3.4–3.540–7050>120200–350200–2600.8
BM-300Asahi Kasei3.3-liquid4.8–5.820–6010–30>150200–350220–3900.8
I-8100Asahi Kasei3.3-liquid3.340–5050>1503502900.8
Cyclotene 4000Dow2.650.008liquid2.9421396250-<0.2
Cyclotene P6001Dow30.009liquid3.655--390-1
Cyclotene 6505Dow3.20.015liquid2.945--390-1.1
Durimide 7300Fujifilm3.2–3.3-liquid2.55585215-2851.08
Durimide 100Fujifilm3.1–3.40.006liquid3.33280260-3711.7
HD-4100HD Microsys.3.360.001liquid3.43545200375330-
HD-8820HD Microsys.2.940.0089liquid2.65266149350306<0.5
HD 8930 PBOHD Microsys.3.10.010liquid1.880801702502401.5
HD 8940 PBOHD Microsys.2.90.009liquid2.2601001702502301.5
PI-2574HD Microsys.3.30.002liquid2.4540101313003202-3
PI-2545HD Microsys.3.30.002liquid2.3131002603504003.1
PI-2611HD Microsys.2.90.002liquid8.531003503503600.5
WPR-5200JSR3.5-liquid2.5546.580200--
HC-FJSR2.490.0016liquid1.16660481851380.3
PRL-29Kayaku Adv. Mat.2.50.004liquid1.86235602002200.03
KMSF-1000Kayaku Adv. Mat.2.60.008liquid0.1414016037175570.1
KMSF-2000Kayaku Adv. Mat.2.50.003liquid1.66065602002150.03
Vecstar CTQ-50Kuraray3.30.002DF (>25  μ m)3.61530180--0.04
Vecstar CTF-50Kuraray3.30.002DF (>25  μ m)3.11840190-0.04
NC0201Namics2.50.0025DF (>5  μ m)0.8130--200185-
Parylene-NSCS2.650.0006vapor2.469<2504.8-160<0.1
Parylene-CSCS2.950.013vapor2.835<2006.9-125<0.1
Parylene-DSCS2.80.0002vapor2.638<2007.6-125<0.1
Parylene-HTSCS2.170.001vapor2.636<2005.2-377<0.01
Chemfilm TH-012Saint Gobain3.30.005DF (13  μ m)3.14085245->3802.5
NQ07XSekisui Chem.3.30.0037DF (>20  μ m)10272.6105-183-
NX04HSekisui Chem.3.30.009DF (>20  μ m)8.024.52.4100-205-
NR11Sekisui Chem.3.40.008DF (15  μ m)12.517---195-
NR50Sekisui Chem.3.30.015DF (10  μ m)5.444---194-
BL α -3700GSSumitomo Bakelite3.10.012-535----1
Table 3. Characteristics of common chemical bonds present in polymers [25].
Table 3. Characteristics of common chemical bonds present in polymers [25].
BondPolarizability (A 3 )Bond Strength (kcal/mol)
C-C0.53183
C-F0.555116
C-O0.58484
C-H0.65299
O-H0.706102
C=O1.02176
C=C1.643146
Table 4. Breakdown strength values of various dielectrics.
Table 4. Breakdown strength values of various dielectrics.
DielectricDielectric Const.Breakdown Strength (MV/m)Ref.
Benzocyclobutene (BCB)2.65530[72]
Parylene-C2.95220[73]
Parylene-HT2.17213[73]
Parylene-N2.65275[73]
Parylene-D2.8217[73]
Polybenzoxazoles (PBO)2.9–3.3150–470[74]
Polyimide (PI)2.9470[75]
Liquid crystal polymer (LCP)3.3200[76]
Polytetrafluoroethylene (PTFE)2–2.1370–742[77]
SiO 2 3.9430–810[78]
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Nimbalkar, P.; Bhaskar, P.; Kathaperumal, M.; Swaminathan, M.; Tummala, R.R. A Review of Polymer Dielectrics for Redistribution Layers in Interposers and Package Substrates. Polymers 2023, 15, 3895. https://doi.org/10.3390/polym15193895

AMA Style

Nimbalkar P, Bhaskar P, Kathaperumal M, Swaminathan M, Tummala RR. A Review of Polymer Dielectrics for Redistribution Layers in Interposers and Package Substrates. Polymers. 2023; 15(19):3895. https://doi.org/10.3390/polym15193895

Chicago/Turabian Style

Nimbalkar, Pratik, Pragna Bhaskar, Mohanalingam Kathaperumal, Madhavan Swaminathan, and Rao R. Tummala. 2023. "A Review of Polymer Dielectrics for Redistribution Layers in Interposers and Package Substrates" Polymers 15, no. 19: 3895. https://doi.org/10.3390/polym15193895

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop